메모리 반도체 시장 본격 진출 ‘HPSP’


Q. 마이크론 대규모 반도체공장 건설…업황 회복할까?

A. 메모리 업체들 감산 조치에 돌입
A. 마이크론, 24년 양산 목표로 진행
A. 당장의 소부장 수주에는 큰 영향 없을 것


Q. HPSP, 어떤 기업인가?

A. 세계 최초 고압 수소 어닐링 장비 상용화
A. 하이K로 인한 계면 결함 개선
A. 100% 고농도 수소로 저온 공정 가능
A. 기존 약점 보완하고 부가가치 높여


Q. 고압 수소 어닐링 기술이란?

A. 대체재가 없는 상황…HPSP 영업이익률 50%
A. 압력과 수소 농도 높을수록 폭발 위험도 증가
A. 폭발 위험을 제어하는 게 HPSP의 핵심 경쟁력
A. 압력 및 온도 제어 등 기술 특허 등록


Q. 부진한 업황에도 고성장 전망, 이유는?

A. 다소 비싸지만 수요가 큰 장비
A. 수율 및 효율성 높일 수 있어


이형수 HSL Partners 대표 by 매일경제TV

[ⓒ 매일경제TV & mktv.co.kr, 무단전재 및 재배포 금지 ]

오늘의 이슈픽